留言板

尊敬的读者、作者、审稿人, 关于本刊的投稿、审稿、编辑和出版的任何问题, 您可以本页添加留言。我们将尽快给您答复。谢谢您的支持!

姓名
邮箱
手机号码
标题
留言内容
验证码

微处理器中含噪热传感器位置分布优化方法

李鑫 李鑫 杨金孝 程元乐

李鑫, 李鑫, 杨金孝, 等 . 微处理器中含噪热传感器位置分布优化方法[J]. 北京航空航天大学学报, 2016, 42(11): 2495-2500. doi: 10.13700/j.bh.1001-5965.2016.0204
引用本文: 李鑫, 李鑫, 杨金孝, 等 . 微处理器中含噪热传感器位置分布优化方法[J]. 北京航空航天大学学报, 2016, 42(11): 2495-2500. doi: 10.13700/j.bh.1001-5965.2016.0204
LI Xin, LI Xin, YANG Jinxiao, et al. Optimization method of thermal sensor placement for microprocessor with noise[J]. Journal of Beijing University of Aeronautics and Astronautics, 2016, 42(11): 2495-2500. doi: 10.13700/j.bh.1001-5965.2016.0204(in Chinese)
Citation: LI Xin, LI Xin, YANG Jinxiao, et al. Optimization method of thermal sensor placement for microprocessor with noise[J]. Journal of Beijing University of Aeronautics and Astronautics, 2016, 42(11): 2495-2500. doi: 10.13700/j.bh.1001-5965.2016.0204(in Chinese)

微处理器中含噪热传感器位置分布优化方法

doi: 10.13700/j.bh.1001-5965.2016.0204
基金项目: 国家自然科学基金(61501377)
详细信息
    作者简介:

    李鑫,男,硕士研究生。主要研究方向:高性能处理器中热传感器噪声误差分析。E-mail:louis_li@mail.nwpu.edu.cn;李鑫,男,博士,讲师。主要研究方向:高性能处理器热特性分析。E-mail:xinli@nwpu.edu.cn;杨金孝,男,博士,副教授。主要研究方向:热传感器数量分配和位置分布方法。E-mail:yjx@nwpu.edu.cn;程元乐,男,硕士研究生。主要研究方向:热特性仿真与验证平台搭建。

    通讯作者:

    李鑫,E-mail:xinli@nwpu.edu.cn

    李鑫,E-mail:xinli@nwpu.edu.cn

  • 中图分类号: V221+.92;TP212

Optimization method of thermal sensor placement for microprocessor with noise

  • 摘要: 高性能处理器普遍集成热传感器,采用动态热管理技术对芯片实施连续热监控。然而,由于实际芯片中的模拟或者数字热传感器不可避免伴随噪声,使动态热管理的可靠性受到很大影响。因此,为了提高热监控的精确性,本文运用主成分分析(PCA)技术对原始热图像样本矩阵进行降维近似处理,并结合矩阵扰动分析提出基于模拟退火算法的热传感器位置分布优化方法。实验结果表明:该方法比现有的贪婪算法在热重构误差、信噪比(SNR)和误警率等性能方面有了一定提高,能够有效运用在动态热管理中实现精确的热监控。

     

  • [1] SHI B,ZHANG Y,SRIVA A,et al.Dynamic thermal management under soft thermal constraints[J].IEEE Transactions on VLSI Systems,2013,21(11):2045-2054.
    [2] ZHANG Y,SRIVA A.Accurate temperature estimation using noisy thermal sensors for Gaussian and non-Gaussian cases[J].IEEE Transactions on Very Large Scale Integration Systems,2011,19(9):1617-1626.
    [3] LONG J,MEMIK S O,MEMIK G,et al.Thermal monitoring mechanisms for chip multiprocessors[J].ACM Transactions on Architecture and Code Optimization,2008,5(2):9:1-9:33.
    [4] MEMIK S O,MUKHERJ R,LONG J.et al.Optimizing thermal sensor allocation for microprocessors[J].IEEE Transactions on Computer-Aided Design of Integrated Circuits,2008,27(3):516-527.
    [5] REDA S,COCHRAN R,NOWROZ A N.Improved thermal tracking for processors using hard and soft sensor allocation techniques[J].IEEE Transactions on Computers,2011,60(6):841-851.
    [6] LI X,RONG M,LIU T,et al.Inverse distance weighting method based on a dynamic voronoi diagram for thermal reconstruction with limited sensor data on multiprocessors[J].IEICE Transactions on Electronics,2011,94 (8):1295-1301.
    [7] KOTTAIMALAI R,RAJASEKARAN M P,SEVAM V,et al.EEG signal classification using principal component analysis with neural network in brain computer interface applications[C]//2013 IEEE International Conference on Emerging Trends in Computing,Communication and Nanotechnology (ICECCN).Piscataway,NJ:IEEE Press,2013:227-231.
    [8] KEIKHA M M.Improved simulated annealing using momentum terms[C]//2011 Second International Conference on Intelligent Systems,Modelling and Simulation (ISMS).Piscataway,NJ:IEEE Press,2011:44-48.
    [9] RANIERI J,VINCENZI A,CHEBIRA A,et al.‘EigenMaps':Algorithms for optimal thermal maps extraction and sensor placement on multicore processors[C]//Proceedings of the 49th Annual Design Automation Conference.Piscataway,NJ:IEEE Press,2012:636-641.
    [10] LI X,RONG M,WANG R,et al.Reducing the number of sensors under hotspot temperature error bound for microprocessors based on dual clustering[J].IET Circuits,Devices & Systems,2013,7(4):211-220.
    [11] MANIATAKOS M,MICHAEL M K,MAKRIS Y,et al.Multiple-bit upset protection in microprocessor memory arrays using vulnerability-based parity optimization and interleaving[J].IEEE Transactions on VLSI Systems,2015,23(11):2447-2460.
    [12] BAZZAZ M,SALEHI M,EJLAI A,et al.An accurate instruction-level energy estimation model and tool for embedded systems[J].IEEE Transactions on Instrumentation and Measurement,2013,62(7):1927-1934.
    [13] ZOU Q,YUE J,SEGEE B,et al.Temporal characterization of SPEC CPU2006 workloads analysis and synthesis[C]//2012 IEEE 31st International Performance Computing and Communications Conference(IPCCC).Piscataway,NJ:IEEE Press,2012:11-20.
    [14] BROOKS D,TIWARI V,MARTONOSI M.Wattch:A framework for architectural-level power analysis and optimizations[C]//Proceedings of the 27th International Symposium on Computer Architecture.Piscataway,NJ:IEEE Press,2000:83-94.
    [15] HUANG W,GHOSH S,VEKYSAMY S,et al.HotSpot:A compact thermal modeling methodology for early-stage VLSI design[J].IEEE Transactions on VLSI Systems,2006,14(5):501-513.
  • 加载中
计量
  • 文章访问数:  595
  • HTML全文浏览量:  46
  • PDF下载量:  485
  • 被引次数: 0
出版历程
  • 收稿日期:  2016-03-15
  • 修回日期:  2016-06-12
  • 网络出版日期:  2016-11-20

目录

    /

    返回文章
    返回
    常见问答