留言板

尊敬的读者、作者、审稿人, 关于本刊的投稿、审稿、编辑和出版的任何问题, 您可以本页添加留言。我们将尽快给您答复。谢谢您的支持!

姓名
邮箱
手机号码
标题
留言内容
验证码

SRAM型FPGA单粒子翻转效应加固方法

姜昱光 韩建伟 朱翔 蔡明辉

姜昱光, 韩建伟, 朱翔, 等 . SRAM型FPGA单粒子翻转效应加固方法[J]. 北京航空航天大学学报, 2014, 40(8): 1073-1077. doi: 10.13700/j.bh.1001-5965.2013.0514
引用本文: 姜昱光, 韩建伟, 朱翔, 等 . SRAM型FPGA单粒子翻转效应加固方法[J]. 北京航空航天大学学报, 2014, 40(8): 1073-1077. doi: 10.13700/j.bh.1001-5965.2013.0514
Jiang Yuguang, Han Jianwei, Zhu Xiang, et al. Single event upset mitigation testing of SRAM-based FPGAs[J]. Journal of Beijing University of Aeronautics and Astronautics, 2014, 40(8): 1073-1077. doi: 10.13700/j.bh.1001-5965.2013.0514(in Chinese)
Citation: Jiang Yuguang, Han Jianwei, Zhu Xiang, et al. Single event upset mitigation testing of SRAM-based FPGAs[J]. Journal of Beijing University of Aeronautics and Astronautics, 2014, 40(8): 1073-1077. doi: 10.13700/j.bh.1001-5965.2013.0514(in Chinese)

SRAM型FPGA单粒子翻转效应加固方法

doi: 10.13700/j.bh.1001-5965.2013.0514
基金项目: 

国家自然科学基金资助项目(40974113);中国科学院知识创新工程青年基金资助项目(O82111A17S);基础科研资助项目(A1320110028);中国科学院支撑技术资助项目(110161501038)

详细信息
    作者简介:

    姜昱光(1986-),男,山东烟台人,博士生,jiangyuguang@nssc.ac.cn.

  • 中图分类号: V524.3

Single event upset mitigation testing of SRAM-based FPGAs

  • 摘要: 应用重离子加速器和皮秒脉冲激光器开展Virtex-Ⅱ FPGA(Field Programmable Gate Array)单粒子效应加固方法有效性研究.实验结果表明,同时应用三模冗余和动态刷新加固方法能够完全纠正单粒子效应产生的功能错误.实验获得数据加密算法在不同单粒子效应加固方法下功能错误截面,发现少量的存储位翻转就可以导致程序功能错误;程序功能对存储位翻转较敏感.分析Virtex-Ⅱ FPGA不同加固方法在不同卫星轨道的有效性,同时应用动态刷新和三模冗余加固方法,可以完全校正由于存储位翻转造成的功能错误.重离子加速器和脉冲激光器实验结果同时表明,脉冲激光可以模拟重离子加速器研究单粒子效应加固方法有效性.

     

  • [1] Yui C, Swift G,Carmichael C.Single event upset susceptibility testing of the Xilinx Virtex-Ⅱ FPGA[C]//Katz R B.Military and Aerospace Applications of Programmable Device and Technologies Conference (MAPLD).Washington:Kossiakoff Conference Center,2002:212-217
    [2] Yui C C, Swift G M,Carmichael C,et al.SEU mitigation testing of Xilinx Virtex-Ⅱ FPGAs[C]//Radiation Effects Data Workshop.Piscataway,NJ:IEEE,2003:92-97
    [3] Sterpone L, Violante M.Analysis of the robustness of the TMR architecture in SRAM-based FPGAs[J].IEEE Transactions on Nuclear Science,2005,52(5):1545-1549
    [4] Velazco R, Foucard G,Peronnard P.Combining results of accelerated radiation tests and fault injections to predict the error rate of an application implemented in SRAM-based FPGAs[J].IEEE Transactions on Nuclear Science,2010,57(6):3500-3505
    [5] 宋凝芳,朱明达, 潘雄.SRAM型FPGA单粒子效应试验研究[J].宇航学报,2012,33(6):836-842 Song Ningfang,Zhu Mingda,Pan Xiong.Experimental study of single event effects in SRAM-based FPGA[J].Journal of Astronautics,2012,33(6):836-842(in Chinese)
    [6] 张宇宁,张小林, 杨根庆,等.商用FPGA器件的单粒子效应模拟实验研究[J].宇航学报,2009,30(5):1000-1328 Zhang Yuning,Zhang Xiaolin,Yang Genqing,et al.Simulation experiment of single event effect in commerical FPGA[J].Journal of Astronautics,2009,30(5):1000-1328(in Chinese)
    [7] 王忠明,姚志斌, 郭红霞,等.SRAM型FPGA的静态与动态单粒子效应试验[J].原子能科学技术,2011,45(12): 1506- 1510 Wang Zhongming,Yao Zhibin,Guo Hongxia,et al.Static and dynamic tests of single-event effect in SRAM-based FPGA[J].Atomic Energy Science and Technology,2011,45(12):1506-1510(in Chinese)
    [8] 范雪,李平,李威,等. 252Cf源和重离子加速器对FPGA的单粒子效应[J].强激光与粒子束,2011,23(8):2229-2232 Fan Xue,Li Ping,Li Wei,et al.Single event effects on FPGA of californium-252 and heavy-ion accelerator[J].High Power Laser and Particle Beams,2011,23(8):2229-2232(in Chinese)
    [9] 周永彬,邢克飞, 王跃科,等.辐射易敏SRAM型FPGA在导航卫星中的实用性实验研究[J].中国科学:物理学· 力学· 天文学,2010,40(5):541-545 Zhou Yongbin,Xing Kefei,Wang Yueke,et al.Experimental study on the suitability of using SRAM based FPGAs in navigation satellite[J].Scientia Sinica Phys,Mech & Astron,2010, 40(5): 541-545(in Chinese)
    [10] 顾义坤,倪风雷, 刘宏.Xilinx FPGA 自主配置管理容错设计研究[J].宇航学报,2012,33(10):1519-1527 Gu Yikun,Ni Fenglei,Liu Hong.Fault-tolerance design of Xilinx FPGA with self-hosting configuration management[J]Journal of Astronautics.2012,33(10):1519-1527(in Chinese)
    [11] 黄锦杰,孙鹏, 沈鸣杰,等.基于TMR的FPGA单粒子加固试验探究[J].复旦学报:自然科学版,2011,50(4):477-484 Huang Jinjie,Sun Peng,Shen Mingjie,et al.Test and inquiry of FPGA SEU-hardening by TMR[J].Journal of Fudan University:Natural Science,2011,50(4):477-484(in Chinese)
    [12] 李志刚,张彧,潘长勇,等. 抗单粒子翻转的可重构卫星通信系统[J].宇航学报,2009,30(5):1752-1756 Li Zhigang,Zhang Yu,Pan Changyong,et al.A new SEU tolerant satellite dynamically-reconfigurable system based on SDR[J].Journal of Astronautics,2009,30(5):1752-1756(in Chinese)
    [13] Xing K F, Yang J W,Zhang C S,et al.Single event upset induced multi-block error and its mitigation strategy for SRAM-based FPGA[J].Science China Technological Sciences,2011,54(10):2657-2664
    [14] Carmichael C. Triple module redundancy design techniques for Virtex FPGAs[R].Xilinx Application Note XAPP197,2001
    [15] Sterpone L, Violante M.Analysis of the robustness of the TMR architecture in SRAM-based FPGAs[J].Nuclear Science,IEEE Transactions on,2005,52(5):1545-1549
  • 加载中
计量
  • 文章访问数:  1733
  • HTML全文浏览量:  182
  • PDF下载量:  488
  • 被引次数: 0
出版历程
  • 收稿日期:  2013-09-04
  • 网络出版日期:  2014-08-20

目录

    /

    返回文章
    返回
    常见问答