Volume 44 Issue 11
Nov.  2018
Turn off MathJax
Article Contents
WANG Jian, YU Fang, ZHAO Kai, et al. Comparison of power consumption and circuit performance between back bias in FDSOI and body bias in bulk silicon[J]. Journal of Beijing University of Aeronautics and Astronautics, 2018, 44(11): 2430-2436. doi: 10.13700/j.bh.1001-5965.2018.0142(in Chinese)
Citation: WANG Jian, YU Fang, ZHAO Kai, et al. Comparison of power consumption and circuit performance between back bias in FDSOI and body bias in bulk silicon[J]. Journal of Beijing University of Aeronautics and Astronautics, 2018, 44(11): 2430-2436. doi: 10.13700/j.bh.1001-5965.2018.0142(in Chinese)

Comparison of power consumption and circuit performance between back bias in FDSOI and body bias in bulk silicon

doi: 10.13700/j.bh.1001-5965.2018.0142
More Information
  • Corresponding author: YU Fang, E-mail:yufang@ime.ac.cn
  • Received Date: 20 Mar 2018
  • Accepted Date: 08 Jun 2018
  • Publish Date: 20 Nov 2018
  • In this paper, the body bias circuit in 28 nm bulk and the back bias circuit in 22 nm FDSOI are analyzed and compared from two aspects:power consumption and circuit performance. Taking a 65-stage ring oscillator (RO) with 4-level frequency divider as an example, post simulation was conducted. The simulatior results show that, for 22 nm FDSOI RO using the back bias technology, the output frequency can be adjusted from 57.8 MHz to 206 MHz, with the corresponding operating current varing from 24.4 μA to 90.4 μA, while for 28 nm bulk silicon RO using the body bias technology, the output frequency can be modulated from 92.8 MHz to 127 MHz, with the corresponding operating current varing from 67.8 μA to 129 μA. The 22 nm FDSOI process RO was measured and the measured results are consistent with the simulation results. Therefore, from the view of both power consumption and performance, the adjustment ability of 22 nm FDSOI circuits with back bias is much more efficient than that of 28 nm bulk circuits with body bias.

     

  • loading
  • [1]
    SUN P P, WANG G A, WOODS W, et al.An adaptive body-bias low voltage low power LC VCO[C]//Proceedings of 2010 IEEE International Symposium on Circuits and Systems (ISCAS).Piscataway, IEEE Press, 2010: 1121-1124. An adaptive body-bias low voltage low power LC VCO
    [2]
    HART M J, YOUNG S P, GITLIN D, et al.Structures and methods for selectively applying a well bias to portions of a programmable device: US2003/0053335A1[P].2003-03-27.
    [3]
    NABAA G, NAJM F, AZIZI N.FPGA architecture with threshold voltage compensation and reduced leakage: US2008/0180129A1[P].2008-07-31.
    [4]
    NEDELCU S, HAUER J, KLEIN L, et al.Dynamic body bias for 22 nm FD-SOI Technology[C]//Proceedings of Analog 2016 IET/GMM-Symposium.Berlin: VDE-Verlag, 2016: 44-48. https://www.vde-verlag.de/proceedings-en/454265007.html
    [5]
    DE STREEL G, BOL D.Impact of back gate biasing schemes on energy and robustness of ULV logic in 28 nm UTBB FDSOI technology[C]//IEEE International Symposium on Low Power Electronics and Design (ISLPED).Piscataway, NJ: IEEE Press, 2013: 255-260. https://www.researchgate.net/publication/261283584_Impact_of_back_gate_biasing_schemes_on_energy_and_robustness_of_ULV_logic_in_28nm_UTBB_FDSOI_technology
    [6]
    BERNARD S, BELLEVILLE M, VALENTIAN A, et al.Experimental analysis of flip-flops minimum operating voltage in 28 nm FDSOI and the impact of back bias and temperature[C]//2014 24th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS).Piscataway, NJ: IEEE Press, 2014, 5596: 1-7. https://www.researchgate.net/publication/286738046_Experimental_analysis_of_flip-flops_minimum_operating_voltage_in_28nm_FDSOI_and_the_impact_of_back_bias_and_temperature
    [7]
    CHANG W T, LIN S W, SHIH C T, et al.Back bias modulation of UTBB FDSOI, bulk FinFET, and SOI FinFET[C]//2016 IEEE International Nanoelectronics Conference (INEC).Piscataway, NJ: IEEE Press, 2016: 1-2. https://ieeexplore.ieee.org/document/7589260
    [8]
    SKOTNICHI T.Competitive SOC with UTBB SOI[C]//2011 IEEE International SOI Conference.Piscataway, NJ: IEEE Press, 2011: 1-61. https://www.infona.pl/resource/bwmeta1.element.ieee-art-000006081792
    [9]
    CHRIRAT S, BEIGNE E, BERTHIER F, et al.Ultra low energy FDSOI asynchronous reconfiguration network for an IoT wireless sensor network node[C]//IEEE S3S Microelectronics Technology Unified Conference.Piscataway, NJ: IEEE Press, 2016: 1-3. https://www.mdpi.com/2079-9268/7/2/11
    [10]
    RASHED M.22FDX FDSOI application towards IOT for smart devices[C]//2017 30th International Conference on VLSI Design and 2017 16th International Conference on Embedded Systems (VLSID).Piscataway, NJ: IEEE Press, 2017.
    [11]
    CHEN L, LOMBARDI F, HAN J.FDSOI SRAM cell for low power design at 22 nm technology node[C]//IEEE International Midwest Symposium on Circuits & Systems, College Station. Piscataway, NJ: IEEE Press, 2014: 527-530. http://www.ece.ualberta.ca/~jhan8/publications/FDSOISRAM_MWCAS2014.pdf
    [12]
    SAKURAI T, MATTSUZAWA A, DOUSEKI T.Fully-depleted SOI CMOS circuits and technology for ultra-low power applications[M].Berlin:Springer, 2006:108-113.
    [13]
    RABARY J M, ANANTHA C, BORIVOJE N.数字集成电路——电路、系统与设计[M].北京:电子工业出版社, 2012:140-146.

    RABARY J M, ANANTHA C, BORIVOJE N.Digital integrated circuit-Circuits, systems and designs[M].Beijing:Publishing House of Electronics Industry, 2012:140-146(in Chinese).
    [14]
    黄如, 张国艳, 李映雪, 等.SOI CMOS技术及其应用[M].北京:科学出版社, 2005:168-172.

    HUANG R, ZHANG G Y, LI Y X, et al.SOI CMOS technology and its application[M].Beijing:Science Press, 2005:168-172(in Chinese).
    [15]
    GAO C, ZHAO X, ZHAO K, et al.DSOI-A novel structure enabling adjust circuit dynamically[J].Journal of Semiconductor, 2016, 37(6):065003. doi: 10.1088/1674-4926/37/6/065003
  • 加载中

Catalog

    通讯作者: 陈斌, bchen63@163.com
    • 1. 

      沈阳化工大学材料科学与工程学院 沈阳 110142

    1. 本站搜索
    2. 百度学术搜索
    3. 万方数据库搜索
    4. CNKI搜索

    Figures(9)  / Tables(4)

    Article Metrics

    Article views(821) PDF downloads(598) Cited by()
    Proportional views
    Related

    /

    DownLoad:  Full-Size Img  PowerPoint
    Return
    Return